产品推荐:水表|流量计|压力变送器|热电偶|液位计|冷热冲击试验箱|水质分析|光谱仪|试验机|试验箱


仪表网>技术中心>应用设计>正文

欢迎联系我

有什么可以帮您? 在线咨询

基于MSP430单片机的智能阻抗测量仪设计

   2013年01月30日 14:32  
  引言
  
  RLC单独测量的方法有很多,对电阻的测量zui为简单。电容电感对时变信号敏感,可将电容电感转换成与电量、时间和频率相关的物理量,通过对电量、时间或频率的测量获得电感电容值。目前通过不同的模拟电桥电路可以实现RLC参数的较测量,在测量时需要预先甄别RLC类型再选着合适的测量电桥和测量频率,因此测量时智能化水平不高。随着数字信号处理技术的成熟,以及AD芯片性能的提升,采用数字信号处理的方法逐渐替代了传统模拟测量信号相位、频率、幅度信息,降低了模拟器件的使用量和系统复杂程度,便于智能化控制。
  
  基于MSP430的智能LRC测量系统,利用高速数模转换电路将信号量化处理,FPGA进行高速数字信号处理获得信号相位、幅值信息。这样不仅减少了模拟器件的数量,也减少了信号传输中的衰减和模拟器件温度变化以及供电变化等引入的附加干扰。此外,采用MSP430单片机的智能控制技术,使测量系统具备自动分析、识别、计算的能力。用户只需开机接入待测量元件即可获得待测元件的RLC值。
  
  1、系统总体设计
  
  系统采用矢量比例法测量RLC的方法,如图1所示。图中参考阻抗用标准阻抗R0代替Z0,可推导出:
  
  由式(2)~(4)可知,只要知道Vx,V0实部、虚部就可以测量待测R,L和C的值。
  
  系统测量过程中总体信号流程如图2所示,系统首先需要产生频率非常稳定的正弦波作为图1的信号源,接入待测元件后,由于V0信号不便直接测量,因此需要减法电路做差后求得V0。为了保证测量精度,系统采用高速高精度的AD芯片进行两通道交流信号同步采样,采样前需要将信号差分化处理。当采样完成后,数据传给FPGA进行傅里叶变换。利用傅里叶分析法,对采样的信号进行FFT变换就能分离出V0,Vx的实部和虚部。FPGA分离出的V0,Vx的实部和虚部数据经单片机MSP430F4617计算,结果将显示在液晶显示器上。
  
  2、系统硬件设计
  
  测量仪的硬件由MCU模块、FPGA数据处理模块、A/D采样模块、单端转差分模块、测量接入模块、函数发生器模块、键盘模块、液晶显示模块构成,硬件框图如图3所示。
  
  2.1系统主控制MCU模块
  
  仪器的控制核心采用MSP430F4617单片机,该芯片有2个16位定时模块单元,多路12位A/D采样转换模块,12位D/A转换模块,多路时钟系统,存储容量大,数量多的I/O口,在整个系统中是利用率比较高的器件。在系统测量过程中,首先用于分析测量数据,根据测量值与没定参数比较,然后再反馈给控制测量模块选择合适R0达到*测量状态。根据初步测量结果反馈控制函数发生器以及内部定时器选择合适函数频率,进行的测量,zui终控制液晶显示器将测量的元件的电学特性RLC值输出显示。
  
  2.2FPGA数字信号处理模块
  
  FPGA芯片采用XC3S200A芯片,系统中高速A/D采样芯片工作频率较高它的控制时钟以及采样时序主要由FPGA控制产生,同时利用FPGA处理数字信号速度快的特点对图3两路信号V0,Vx进行FFT运算分离出实部虚部信息,并将数据传送给单片机。
  
  2.3A/D采样模块
  
  为了减小图3中2个模拟输入信号V0,Vx的相位误差,系统采用AD7862高速、低功耗、双核12位模数转换器(ADC)芯片进行采样。该器件内置2个4μs逐次逼近型A/D转换器、两个采样保持放大器、一个2.5V内部基准电压源和一个高速并行接口,它有4个模拟输入组成2个通道A和B(分别用于采样V0、Vx信号),每个通道的两个输入(VA1与VA2或VB1与VB2)可同时进行采样和转换,通过A0(FPGA控制)作为输入选择通道,采样时序由FPGA提供。
  
  2.4单端转差分电路
  
  后级A/D采样电路需要在差分输入状态下达到*采样精度,所以需要将前级测量模块产生的V0,Vx差分化预处理,这里采用全差动运算放大器THS4503进行转换,THS4503具有非常的线性度,输出模式可调,电压工作范围宽(5V,5V,12V,15V)工作带宽可达370MHz,转换速度极快达到2800V/s。另外,还需要REF2330芯片为THS4503以及A/D采样模块提供基准电压。
  
  2.5测量模块
  
  测量模块是待测元件与标准R0分压部分,主要包括减法电路求Vx、减法求V0电路以及标准电阻R0选择电路,如图4所示。由于图2中的Vx不便直接测量,所以通过减法电路来求Vx。当图4减法电路求得Vx的同时,也引入了新的环境变量,因此,使V0也通过相同的电路环境以减小系统误差。可以分析得出,当图1中Vx/V0为1:1时测量精度zui高,所以需要调整R0与待测元件的分压接近1:1来保证测量精度。标准电阻R0
  
  由MSP430单片机控制的模拟开关CD4051进行软件选择。
  
  2.6函数发生器模块
  
  在图1测量原理中,测量是工作在正弦信号的条件下,要保证测量的精度,测量频率必须保证相当稳定。所以,利用晶体振荡器振荡频率稳定性高的特点来获得测量频率将很好的保证我们测量的稳定性。同时利用单片机定时器分频晶振产生的频率,可以获得频率稳定性高的各种频率。单片机的定时器输出为方波信号,要获得正弦信号,需要对方波信号进行滤波,将方波的中心频率信号滤出并保证相当的信号强度,因此需要设计一款性能良好的滤波器。此外,设计的滤波器必须有较高的Q值以提高选频特性。其电路原理如图5所示。
  
  图中电路实质是双二次带通滤波器,它的特点是调整过程十分简单,增益由改变R1调整,Q由改变R2调节,而改变R3则影响输出频率。单片机输出方波信号从图5中R1输入后,经双二次带通滤波器滤波后输出正弦信号。系统通过单片机控制的双刀双掷模拟开关CD4052选择不同的电容C来实现测量频率的自动切换。此外,为了减小温度影响,电路中R1,R2,R3采用由正负温度系数电阻按一定比例串联的温度补偿设计。
  
  3、系统软件设计
  
  系统软件设计包括主程序、MCU与FPGA通信子程序、键盘子程序、液晶显示子程序、数据处理子程序。
  
  主程序设计流程为开始后*性初始化,关闭看门狗以防止程序初始化时被复位,将系统时钟初始化,接着是对各模块(指针、液晶、键盘扫描、模拟开关端口)等子系统指针初始化,然后进入测量调整阶段。测量调整阶段*行键盘扫描(键盘扫描主要用在调试阶段zui终不需要键盘输入)设置标志位,设置定时器控制输出方波频率并根据键盘标志位判断是否需要进行手动频率切换,控制模拟开关自动选择参考电阻,通知FPGA进行信号处理,并根据初步测量结果进行频率和R0调整,对测量结果进行修正,zui终控制液晶输出测量结果。主程序设计流程图如图6所示。
  
  4、结果及讨论
  
  测量值与数字电桥测量值对比如表1~表3。
  
  设计的RLC测量仪的电阻测量范围为50Ω~20MΩ,误差在5%以内,电感测量范围为1mH~1H,误差在为8%以内,电容测量范围为100pF~50μF,误差在5%以内。
  
  设计采用了单片机智能控制技术,实现了系统的智能化控制和输出。高速A/D采样转换技术,实现了信号的高速转换以及数据的高速采集。基于FPGA的数字信号处理技术,实现了数据的高速处理计算。目前该装置由于模拟开关内部电阻较大(约120Ω)限制了部分范围的RLC测量精度,需要改用导通电阻更小的模拟开关来完善。

免责声明

  • 凡本网注明“来源:仪表网”的所有作品,均为浙江兴旺宝明通网络有限公司-仪表网合法拥有版权或有权使用的作品,未经本网授权不得转载、摘编或利用其它方式使用上述作品。已经本网授权使用作品的,应在授权范围内使用,并注明“来源:仪表网”。违反上述声明者,本网将追究其相关法律责任。
  • 本网转载并注明自其它来源(非仪表网)的作品,目的在于传递更多信息,并不代表本网赞同其观点或和对其真实性负责,不承担此类作品侵权行为的直接责任及连带责任。其他媒体、网站或个人从本网转载时,必须保留本网注明的作品第一来源,并自负版权等法律责任。
  • 如涉及作品内容、版权等问题,请在作品发表之日起一周内与本网联系,否则视为放弃相关权利。
联系我们

客服热线: 15267989561

加盟热线: 15267989561

媒体合作: 0571-87759945

投诉热线: 0571-87759942

关注我们
  • 下载仪表站APP

  • Ybzhan手机版

  • Ybzhan公众号

  • Ybzhan小程序

企业未开通此功能
详询客服 : 0571-87759942